From 5f830c63aa251de789313df6c548d937bd48d53b Mon Sep 17 00:00:00 2001 From: Nao Pross Date: Wed, 25 Aug 2021 17:14:07 +0200 Subject: Typos, add abstract and samples, finish workflow diagram --- tex/testbench.tex | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'tex/testbench.tex') diff --git a/tex/testbench.tex b/tex/testbench.tex index 5892820..71496f2 100644 --- a/tex/testbench.tex +++ b/tex/testbench.tex @@ -26,7 +26,7 @@ y <= `\reqph{expression}` after `\reqph{time}`; \end{lstlisting} %% TODO: tikz timing diagram -\subsection{Generate stimuli} +\subsection{Generate stimuli} \label{sec:stimuli} Simple stimuli (signals) are generated using processes. For example a clock signal done in three ways: \begin{lstlisting}[language=vhdl] @@ -99,7 +99,7 @@ for i in 0 to 2**n -1 loop end loop; \end{lstlisting} -\subsection{Assertions} +\subsection{Assertions} \label{sec:assertions} Assertions are used write tests to check that a signal is in the correct state. \begin{lstlisting}[language=vhdl] `\optionalph{label}`: assert `\reqph{condition}` report `\reqph{string}` severity `\reqph{severity}`; -- cgit v1.2.1