From 7183cd4e3e55db12ee82d155923e2d18303e8c69 Mon Sep 17 00:00:00 2001 From: Naoki Pross Date: Mon, 4 Oct 2021 15:34:32 +0200 Subject: Typos --- DigME.tex | 13 +++++++------ build/DigME.pdf | Bin 85428 -> 85962 bytes 2 files changed, 7 insertions(+), 6 deletions(-) diff --git a/DigME.tex b/DigME.tex index d6a7490..30576a8 100644 --- a/DigME.tex +++ b/DigME.tex @@ -41,7 +41,7 @@ \authoremail{naoki.pross@ost.ch} \author{\textsl{Naoki Pross} -- \texttt{\theauthoremail}} -\title{\texttt{\themodule} Lecture Notes} +\title{\texttt{\themodule}: Digital Microelectronics} \date{\thesemester} %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% @@ -63,7 +63,7 @@ \section{Design Flow} -\section{Design constraints static timing analysis (STA)} +\section{Design constraints and static timing analysis (STA)} \subsection{Physical constraints} @@ -87,7 +87,7 @@ signal data_bus: std_logic_vector(31 downto 0); alias first_nibble: std_logic_vector(0 downto 3) - is dataBus (31 downto 28); + is data_bus(31 downto 28); \end{lstlisting} \subsection{Generics} @@ -140,6 +140,7 @@ is `\optionalph{declaration of variables}` begin -- sequential statement (but not wait) +end function `\reqph{name}`; \end{lstlisting} An example is a parity generator: \begin{lstlisting}[ @@ -172,7 +173,7 @@ begin -- sequential statement end procedure `\reqph{name}`; \end{lstlisting} -With \emph{list of arguments with direction} it is meant an expression like \vhdl{a, b : in real, w : out real}, similar to the arguments of \vhdl{port}. +With \emph{list of arguments with direction} it is meant an expression like \vhdl{a, b : in real; w : out real}, similar to the arguments of \vhdl{port}. \subsection{Arrays and records} @@ -209,13 +210,13 @@ To declare your own packges, the syntax is rather easy: `\reqph{{\tt library} and / or {\tt use} statements}` package `\reqph{name}` is `\optionalph{declarations}` -end `\reqph{package name}`; +end package `\reqph{package name}`; \end{lstlisting} And possibly in another file the implementation is give with: \begin{lstlisting}[language = vhdl] package body `\reqph{name}` is `\reqph{list of definitions}` -end `\reqph{name}`; +end package body `\reqph{name}`; \end{lstlisting} In practice it is common to see for example a configuration package, that contains all constants for the project. For example if we were to put the function \vhdl{pargen} from listing \ref{lst:pargen} we could do: diff --git a/build/DigME.pdf b/build/DigME.pdf index 91ab0cc..1bb0675 100644 Binary files a/build/DigME.pdf and b/build/DigME.pdf differ -- cgit v1.2.1