From 6247dcaa9f30c34a5c135c5fcccf56d91fd8c015 Mon Sep 17 00:00:00 2001 From: Nao Pross Date: Thu, 2 Nov 2017 22:07:40 +0100 Subject: Start serial and AD/DA converters chapter and minor corrections --- techwsw/res/seriale/parallel_serial.pdf | Bin 0 -> 992 bytes techwsw/res/seriale/parallel_serial.svg | 68 ++++++++++++++++++++++++++++++++ 2 files changed, 68 insertions(+) create mode 100644 techwsw/res/seriale/parallel_serial.pdf create mode 100644 techwsw/res/seriale/parallel_serial.svg (limited to 'techwsw/res/seriale') diff --git a/techwsw/res/seriale/parallel_serial.pdf b/techwsw/res/seriale/parallel_serial.pdf new file mode 100644 index 0000000..da4dddf Binary files /dev/null and b/techwsw/res/seriale/parallel_serial.pdf differ diff --git a/techwsw/res/seriale/parallel_serial.svg b/techwsw/res/seriale/parallel_serial.svg new file mode 100644 index 0000000..4b8f402 --- /dev/null +++ b/techwsw/res/seriale/parallel_serial.svg @@ -0,0 +1,68 @@ + + + + + + + + + + + + image/svg+xml + + + + + + + + + -- cgit v1.2.1