summaryrefslogtreecommitdiffstats
path: root/sw/cpld_test/synlog
diff options
context:
space:
mode:
Diffstat (limited to 'sw/cpld_test/synlog')
-rw-r--r--sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt4
-rw-r--r--sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt3
-rw-r--r--sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml41
3 files changed, 48 insertions, 0 deletions
diff --git a/sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt b/sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt
new file mode 100644
index 0000000..fb62f97
--- /dev/null
+++ b/sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt
@@ -0,0 +1,4 @@
+@E: CD169 :"\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd":7:1:7:6|Illegal declaration
+@E: CD213 :"\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd":13:1:13:7|Undefined identifier
+@E|Parse errors encountered - exiting
+
diff --git a/sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt b/sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt
new file mode 100644
index 0000000..6c7370d
--- /dev/null
+++ b/sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt
@@ -0,0 +1,3 @@
+@N|Running in 32-bit mode
+@N: CD720 :"C:\ispLEVER_Classic2\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns
+
diff --git a/sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml b/sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml
new file mode 100644
index 0000000..937182a
--- /dev/null
+++ b/sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml
@@ -0,0 +1,41 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<!-- *************************************************************************************
+FILE DESCRIPTION
+The file contains the job information from compiler to be displayed as part of the summary report.
+*******************************************************************************************-->
+
+<job_run_status name="compiler">
+ <report_link name="Detailed report">
+ <data>\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr</data>
+ <title>Start of Compile</title>
+ </report_link>
+ <job_status>
+ <data>Failed </data>
+ </job_status>
+<job_info>
+ <info name="Notes">
+ <data>2</data>
+ <report_link name="more"><data>\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synlog\report\cpld_test_compiler_notes.txt</data></report_link>
+ </info>
+ <info name="Warnings">
+ <data>0</data>
+ <report_link name="more"><data>\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synlog\report\cpld_test_compiler_warnings.txt</data></report_link>
+ </info>
+ <info name="Errors">
+ <data>3</data>
+ <report_link name="more"><data>\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synlog\report\cpld_test_compiler_errors.txt</data></report_link>
+ </info>
+ <info name="CPU Time">
+ <data>-</data>
+ </info>
+ <info name="Real Time">
+ <data>0h:00m:00s</data>
+ </info>
+ <info name="Peak Memory">
+ <data>-</data>
+ </info>
+ <info name="Date &amp;Time">
+ <data type="timestamp">1496317911</data>
+ </info>
+ </job_info>
+</job_run_status> \ No newline at end of file