From 6105426e159a55cfb15fee3e999bb4fcf6289446 Mon Sep 17 00:00:00 2001 From: Nao Pross Date: Fri, 16 Jun 2017 15:25:54 +0200 Subject: new components list and cpld test unit --- sw/cpld_test/run_options.txt | 56 ++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 56 insertions(+) create mode 100644 sw/cpld_test/run_options.txt (limited to 'sw/cpld_test/run_options.txt') diff --git a/sw/cpld_test/run_options.txt b/sw/cpld_test/run_options.txt new file mode 100644 index 0000000..e4fea81 --- /dev/null +++ b/sw/cpld_test/run_options.txt @@ -0,0 +1,56 @@ +#-- Synopsys, Inc. +#-- Version I-2014.03LC +#-- Project file \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\run_options.txt +#-- Written on Thu Jun 01 13:51:51 2017 + + +#project files +add_file -vhdl -lib work "./cpld_test.vhd" + + + +#implementation: "cpld_test" +impl -add cpld_test -type fpga + +#device options +set_option -technology mach +set_option -part M4A5-32 +set_option -package "" +set_option -speed_grade "" +set_option -part_companion "" + +#compilation/mapping options +set_option -top_module "cpld_test" + +# mapper_options +set_option -frequency 1 +set_option -write_verilog 0 +set_option -write_vhdl 0 +set_option -srs_instrumentation 1 + +# Lattice ispMACH4000 +set_option -maxfanin 20 +set_option -RWCheckOnRam 1 +set_option -maxterms 16 +set_option -areadelay 0 +set_option -disable_io_insertion 0 + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 + +# Compiler Options +set_option -auto_infer_blackbox 0 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_file "./cpld_test.edi" + +#set log file +set_option log_file "//nas001/account_pif/_prossn/samb_3/lab3/projects/z80upc/sw/cpld_test/cpld_test.srf" +impl -active "cpld_test" -- cgit v1.2.1