From 6105426e159a55cfb15fee3e999bb4fcf6289446 Mon Sep 17 00:00:00 2001 From: Nao Pross Date: Fri, 16 Jun 2017 15:25:54 +0200 Subject: new components list and cpld test unit --- sw/cpld_test/stdout.log | 35 +++++++++++++++++++++++++++++++++++ 1 file changed, 35 insertions(+) create mode 100644 sw/cpld_test/stdout.log (limited to 'sw/cpld_test/stdout.log') diff --git a/sw/cpld_test/stdout.log b/sw/cpld_test/stdout.log new file mode 100644 index 0000000..968f5e3 --- /dev/null +++ b/sw/cpld_test/stdout.log @@ -0,0 +1,35 @@ +Running in Lattice mode + + +Starting: C:\ispLEVER_Classic2\synpbase\bin\mbin\synbatch.exe +Install: C:\ispLEVER_Classic2\synpbase +Date: Thu Jun 01 13:51:51 2017 +Version: I-2014.03LC + +Arguments: -product synplify_pro -batch //nas001/account_pif/_prossn/samb_3/lab3/projects/z80upc/sw/cpld_test\cpld_test.prj +ProductType: synplify_pro + + + + + +log file: "\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr" + +Running cpld_test|cpld_test + +Running: Compile on cpld_test|cpld_test + +Running: Compile Process on cpld_test|cpld_test + +Running: Compile Input on cpld_test|cpld_test +compiler exited with errors +Job: "compiler" terminated with error status: 2. +See log file: "\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr" +Return Code: 2 +Run Time:0h:00m:00s +Copied \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr to \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srf + +exit status=2 + +exit status=2 + -- cgit v1.2.1