From 6105426e159a55cfb15fee3e999bb4fcf6289446 Mon Sep 17 00:00:00 2001 From: Nao Pross Date: Fri, 16 Jun 2017 15:25:54 +0200 Subject: new components list and cpld test unit --- .../synlog/report/cpld_test_compiler_errors.txt | 4 +++ .../synlog/report/cpld_test_compiler_notes.txt | 3 ++ .../synlog/report/cpld_test_compiler_runstatus.xml | 41 ++++++++++++++++++++++ 3 files changed, 48 insertions(+) create mode 100644 sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt create mode 100644 sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt create mode 100644 sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml (limited to 'sw/cpld_test/synlog') diff --git a/sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt b/sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt new file mode 100644 index 0000000..fb62f97 --- /dev/null +++ b/sw/cpld_test/synlog/report/cpld_test_compiler_errors.txt @@ -0,0 +1,4 @@ +@E: CD169 :"\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd":7:1:7:6|Illegal declaration +@E: CD213 :"\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd":13:1:13:7|Undefined identifier +@E|Parse errors encountered - exiting + diff --git a/sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt b/sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt new file mode 100644 index 0000000..6c7370d --- /dev/null +++ b/sw/cpld_test/synlog/report/cpld_test_compiler_notes.txt @@ -0,0 +1,3 @@ +@N|Running in 32-bit mode +@N: CD720 :"C:\ispLEVER_Classic2\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns + diff --git a/sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml b/sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml new file mode 100644 index 0000000..937182a --- /dev/null +++ b/sw/cpld_test/synlog/report/cpld_test_compiler_runstatus.xml @@ -0,0 +1,41 @@ + + + + + + \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr + Start of Compile + + + Failed + + + + 2 + \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synlog\report\cpld_test_compiler_notes.txt + + + 0 + \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synlog\report\cpld_test_compiler_warnings.txt + + + 3 + \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synlog\report\cpld_test_compiler_errors.txt + + + - + + + 0h:00m:00s + + + - + + + 1496317911 + + + \ No newline at end of file -- cgit v1.2.1