From 6105426e159a55cfb15fee3e999bb4fcf6289446 Mon Sep 17 00:00:00 2001 From: Nao Pross Date: Fri, 16 Jun 2017 15:25:54 +0200 Subject: new components list and cpld test unit --- sw/cpld_test/syntmp/closed.png | Bin 0 -> 3672 bytes sw/cpld_test/syntmp/cmdrec_compiler.log | 7 +++++ sw/cpld_test/syntmp/cpld_test_srr.htm | 29 +++++++++++++++++++ sw/cpld_test/syntmp/cpld_test_toc.htm | 25 ++++++++++++++++ sw/cpld_test/syntmp/open.png | Bin 0 -> 452 bytes sw/cpld_test/syntmp/run_option.xml | 18 ++++++++++++ sw/cpld_test/syntmp/statusReport.html | 49 ++++++++++++++++++++++++++++++++ 7 files changed, 128 insertions(+) create mode 100644 sw/cpld_test/syntmp/closed.png create mode 100644 sw/cpld_test/syntmp/cmdrec_compiler.log create mode 100644 sw/cpld_test/syntmp/cpld_test_srr.htm create mode 100644 sw/cpld_test/syntmp/cpld_test_toc.htm create mode 100644 sw/cpld_test/syntmp/open.png create mode 100644 sw/cpld_test/syntmp/run_option.xml create mode 100644 sw/cpld_test/syntmp/statusReport.html (limited to 'sw/cpld_test/syntmp') diff --git a/sw/cpld_test/syntmp/closed.png b/sw/cpld_test/syntmp/closed.png new file mode 100644 index 0000000..0d78634 Binary files /dev/null and b/sw/cpld_test/syntmp/closed.png differ diff --git a/sw/cpld_test/syntmp/cmdrec_compiler.log b/sw/cpld_test/syntmp/cmdrec_compiler.log new file mode 100644 index 0000000..24cfe61 --- /dev/null +++ b/sw/cpld_test/syntmp/cmdrec_compiler.log @@ -0,0 +1,7 @@ +C:\ispLEVER_Classic2\synpbase\bin\c_vhdl.exe -osyn \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synwork\cpld_test_comp.srs -top cpld_test -prodtype synplify_pro -nostructver -dfltencoding sequential -encrypt -pro -dmgen \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\dm -lite -ui -fid2 -ram -sharing on -ll 2000 -autosm -ignore_undefined_lib -lib work \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd -loga \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr +rc:2 success:0 +\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synwork\cpld_test_comp.srs|o|0|0 +\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd|i|1496311903|284 +\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr|o|1496317911|1349 +C:\ispLEVER_Classic2\synpbase\bin64\c_vhdl.exe|i|1401224104|5533184 +C:\ispLEVER_Classic2\synpbase\bin\c_vhdl.exe|i|1401223898|2046976 diff --git a/sw/cpld_test/syntmp/cpld_test_srr.htm b/sw/cpld_test/syntmp/cpld_test_srr.htm new file mode 100644 index 0000000..a234dd1 --- /dev/null +++ b/sw/cpld_test/syntmp/cpld_test_srr.htm @@ -0,0 +1,29 @@ +
+
+#Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
+#install: C:\ispLEVER_Classic2\synpbase
+#OS: Windows 7 6.1
+#Hostname: PC805012
+
+#Implementation: cpld_test
+
+$ Start of Compile
+#Thu Jun 01 13:51:51 2017
+
+Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
+@N: :  | Running in 32-bit mode 
+Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
+
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+Top entity isn't set yet!
+@E:CD169 : cpld_test.vhd(7) | Illegal declaration
+@E:CD213 : cpld_test.vhd(13) | Undefined identifier
+2 errors parsing file \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd
+@END
+@E: :  | Parse errors encountered - exiting 
+Process took 0h:00m:01s realtime, 0h:00m:01s cputime
+# Thu Jun 01 13:51:51 2017
+
+###########################################################]
+
+
diff --git a/sw/cpld_test/syntmp/cpld_test_toc.htm b/sw/cpld_test/syntmp/cpld_test_toc.htm new file mode 100644 index 0000000..6e8aa83 --- /dev/null +++ b/sw/cpld_test/syntmp/cpld_test_toc.htm @@ -0,0 +1,25 @@ + + + + + + + + + + + + + + \ No newline at end of file diff --git a/sw/cpld_test/syntmp/open.png b/sw/cpld_test/syntmp/open.png new file mode 100644 index 0000000..a227005 Binary files /dev/null and b/sw/cpld_test/syntmp/open.png differ diff --git a/sw/cpld_test/syntmp/run_option.xml b/sw/cpld_test/syntmp/run_option.xml new file mode 100644 index 0000000..365c522 --- /dev/null +++ b/sw/cpld_test/syntmp/run_option.xml @@ -0,0 +1,18 @@ + + + + + + + + + + + diff --git a/sw/cpld_test/syntmp/statusReport.html b/sw/cpld_test/syntmp/statusReport.html new file mode 100644 index 0000000..1914c86 --- /dev/null +++ b/sw/cpld_test/syntmp/statusReport.html @@ -0,0 +1,49 @@ + + + + Project Status Summary Page + + + + + + +
+ + + + + + + +
Project Settings
Project Name cpld_test Implementation Name cpld_test
Top Module cpld_test Resource Sharing 1
Disable I/O Insertion 0 FSM Compiler 1

+ + + + + + + + + + + + + + + + + + + + + + + + + + + +
Run Status
Job NameStatusCPU TimeReal TimeMemoryDate/Time
Compile InputError203-0m:00s-01.06.2017
13:51:51
Map & Optimizeout-of-date0m:00s
+
+ \ No newline at end of file -- cgit v1.2.1