summaryrefslogtreecommitdiffstats
path: root/sw/cpld_test/cpld_test.vhd
blob: b1161e9e40adfdde132e9c4f06a1c1e2db9382bf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity cpld_test is
	IN_PIN: in std_logic;
	OUT_PIN: out std_logic;
end;

architecture behavioral of cpld_test is
begin
	OUT_PIN <= not(IN_PIN);
end behavioral;