aboutsummaryrefslogtreecommitdiffstats
path: root/tests/Simulation Hardware/AM/AM_Hardware_Simulation_v1.grc
diff options
context:
space:
mode:
Diffstat (limited to 'tests/Simulation Hardware/AM/AM_Hardware_Simulation_v1.grc')
-rw-r--r--tests/Simulation Hardware/AM/AM_Hardware_Simulation_v1.grc2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/Simulation Hardware/AM/AM_Hardware_Simulation_v1.grc b/tests/Simulation Hardware/AM/AM_Hardware_Simulation_v1.grc
index ca05787..fced962 100644
--- a/tests/Simulation Hardware/AM/AM_Hardware_Simulation_v1.grc
+++ b/tests/Simulation Hardware/AM/AM_Hardware_Simulation_v1.grc
@@ -121,7 +121,7 @@ blocks:
phase: '0'
samp_rate: samp_rate
type: float
- waveform: analog.GR_COS_WAVE
+ waveform: analog.GR_SIN_WAVE
states:
bus_sink: false
bus_source: false