summaryrefslogtreecommitdiffstats
path: root/sw/cpld_test/syntmp
diff options
context:
space:
mode:
authorNao Pross <naopross@thearcway.org>2017-06-16 15:25:54 +0200
committerNao Pross <naopross@thearcway.org>2017-06-16 15:25:54 +0200
commit6105426e159a55cfb15fee3e999bb4fcf6289446 (patch)
tree658b62ff706fcd81674901bc4bfd4dbb9667ebdd /sw/cpld_test/syntmp
parentfixed typo in usart.h and in doc (diff)
downloadz80uPC-6105426e159a55cfb15fee3e999bb4fcf6289446.tar.gz
z80uPC-6105426e159a55cfb15fee3e999bb4fcf6289446.zip
new components list and cpld test unit
Diffstat (limited to 'sw/cpld_test/syntmp')
-rw-r--r--sw/cpld_test/syntmp/closed.pngbin0 -> 3672 bytes
-rw-r--r--sw/cpld_test/syntmp/cmdrec_compiler.log7
-rw-r--r--sw/cpld_test/syntmp/cpld_test_srr.htm29
-rw-r--r--sw/cpld_test/syntmp/cpld_test_toc.htm25
-rw-r--r--sw/cpld_test/syntmp/open.pngbin0 -> 452 bytes
-rw-r--r--sw/cpld_test/syntmp/run_option.xml18
-rw-r--r--sw/cpld_test/syntmp/statusReport.html49
7 files changed, 128 insertions, 0 deletions
diff --git a/sw/cpld_test/syntmp/closed.png b/sw/cpld_test/syntmp/closed.png
new file mode 100644
index 0000000..0d78634
--- /dev/null
+++ b/sw/cpld_test/syntmp/closed.png
Binary files differ
diff --git a/sw/cpld_test/syntmp/cmdrec_compiler.log b/sw/cpld_test/syntmp/cmdrec_compiler.log
new file mode 100644
index 0000000..24cfe61
--- /dev/null
+++ b/sw/cpld_test/syntmp/cmdrec_compiler.log
@@ -0,0 +1,7 @@
+C:\ispLEVER_Classic2\synpbase\bin\c_vhdl.exe -osyn \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synwork\cpld_test_comp.srs -top cpld_test -prodtype synplify_pro -nostructver -dfltencoding sequential -encrypt -pro -dmgen \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\dm -lite -ui -fid2 -ram -sharing on -ll 2000 -autosm -ignore_undefined_lib -lib work \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd -loga \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr
+rc:2 success:0
+\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\synwork\cpld_test_comp.srs|o|0|0
+\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd|i|1496311903|284
+\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.srr|o|1496317911|1349
+C:\ispLEVER_Classic2\synpbase\bin64\c_vhdl.exe|i|1401224104|5533184
+C:\ispLEVER_Classic2\synpbase\bin\c_vhdl.exe|i|1401223898|2046976
diff --git a/sw/cpld_test/syntmp/cpld_test_srr.htm b/sw/cpld_test/syntmp/cpld_test_srr.htm
new file mode 100644
index 0000000..a234dd1
--- /dev/null
+++ b/sw/cpld_test/syntmp/cpld_test_srr.htm
@@ -0,0 +1,29 @@
+<html><body><samp><pre>
+<!@TC:1496317911>
+#Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
+#install: C:\ispLEVER_Classic2\synpbase
+#OS: Windows 7 6.1
+#Hostname: PC805012
+
+#Implementation: cpld_test
+
+<a name=compilerReport1>$ Start of Compile</a>
+#Thu Jun 01 13:51:51 2017
+
+Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
+@N: : <!@TM:1496317911> | Running in 32-bit mode
+Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited.
+
+@N:<a href="@N:CD720:@XP_HELP">CD720</a> : <a href="C:\ispLEVER_Classic2\synpbase\lib\vhd\std.vhd:123:18:123:22:@N:CD720:@XP_MSG">std.vhd(123)</a><!@TM:1496317911> | Setting time resolution to ns
+Top entity isn't set yet!
+<a name=error2><font color=red>@E:<a href="@E:CD169:@XP_HELP">CD169</a> : <a href="\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd:7:1:7:7:@E:CD169:@XP_MSG">cpld_test.vhd(7)</a><!@TM:1496317911> | Illegal declaration</font>
+<a name=error3><font color=red>@E:<a href="@E:CD213:@XP_HELP">CD213</a> : <a href="\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd:13:1:13:8:@E:CD213:@XP_MSG">cpld_test.vhd(13)</a><!@TM:1496317911> | Undefined identifier</font>
+2 errors parsing file \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\cpld_test.vhd
+@END
+<a name=error4><font color=red>@E: : <!@TM:1496317911> | Parse errors encountered - exiting</font>
+Process took 0h:00m:01s realtime, 0h:00m:01s cputime
+# Thu Jun 01 13:51:51 2017
+
+###########################################################]
+
+</pre></samp></body></html>
diff --git a/sw/cpld_test/syntmp/cpld_test_toc.htm b/sw/cpld_test/syntmp/cpld_test_toc.htm
new file mode 100644
index 0000000..6e8aa83
--- /dev/null
+++ b/sw/cpld_test/syntmp/cpld_test_toc.htm
@@ -0,0 +1,25 @@
+ <html>
+ <head>
+ <script type="text/javascript" src="file:///C:\ispLEVER_Classic2\synpbase\lib\report\reportlog_tree.js"></script>
+ <link rel="stylesheet" type="text/css" href="file:///C:\ispLEVER_Classic2\synpbase\lib\report\reportlog_tree.css" />
+ </head>
+
+ <body style="background-color:#e0e0ff;">
+ <script type="text/javascript"> reportLogObj.loadImage("closed.png", "open.png")</script>
+ <ul id="cpld_test-menu" class="treeview" style="padding-left:12;">
+ <li style="font-size:12; font-style:normal"> <b style="background-color:#a2bff0; font-weight:bold">cpld_test (cpld_test)</b>
+ <ul rel="open" style="font-size:small;">
+
+<li style="font-size:12; font-style:normal"><b style="background-color:#a2bff0; font-weight:bold">Synthesis - </b>
+<ul rel="open">
+<li><a href="file:///\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\syntmp\cpld_test_srr.htm#error2" target="srrFrame" title="">Error in report!</a> </li>
+<li><a href="file:///\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\syntmp\cpld_test_srr.htm#compilerReport1" target="srrFrame" title="">Compiler Report</a> </li></ul></li>
+<li><a href="file:///\\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\stdout.log" target="srrFrame" title="">Session Log (13:50 01-Jun)</a>
+<ul ></ul></li> </ul>
+ </li>
+ </ul>
+
+ <script type="text/javascript"> reportLogObj.generateLog("cpld_test-menu")</script>
+
+ </body>
+ </html> \ No newline at end of file
diff --git a/sw/cpld_test/syntmp/open.png b/sw/cpld_test/syntmp/open.png
new file mode 100644
index 0000000..a227005
--- /dev/null
+++ b/sw/cpld_test/syntmp/open.png
Binary files differ
diff --git a/sw/cpld_test/syntmp/run_option.xml b/sw/cpld_test/syntmp/run_option.xml
new file mode 100644
index 0000000..365c522
--- /dev/null
+++ b/sw/cpld_test/syntmp/run_option.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="utf-8"?>
+<!--
+ Synopsys, Inc.
+ Version I-2014.03LC
+ Project file \\nas001\account_pif\_prossn\samb_3\lab3\projects\z80upc\sw\cpld_test\syntmp\run_option.xml
+ Written on Thu Jun 01 13:51:51 2017
+
+
+-->
+<project_attribute_list name="Project Settings">
+ <option name="project_name" display_name="Project Name">cpld_test</option>
+ <option name="impl_name" display_name="Implementation Name">cpld_test</option>
+ <option name="top_module" display_name="Top Module">cpld_test</option>
+ <option name="resource_sharing" display_name="Resource Sharing">1</option>
+ <option name="disable_io_insertion" display_name="Disable I/O Insertion">0</option>
+ <option name="symbolic_fsm_compiler" display_name="FSM Compiler">1</option>
+</project_attribute_list>
+
diff --git a/sw/cpld_test/syntmp/statusReport.html b/sw/cpld_test/syntmp/statusReport.html
new file mode 100644
index 0000000..1914c86
--- /dev/null
+++ b/sw/cpld_test/syntmp/statusReport.html
@@ -0,0 +1,49 @@
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
+ <html xmlns="http://www.w3.org/1999/xhtml">
+ <head> <meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1" />
+ <title>Project Status Summary Page</title>
+ <script type="text/javascript" src="projectstatuspage.js"></script>
+ <link rel="stylesheet" type="text/css" href="projectstatuspage.css" />
+ </head>
+
+ <body style="background-color:#f0f0ff;">
+
+<table style="border:none;" width="100%" ><tr> <td class="outline">
+<table width="100%" border="0" cellspacing="0" cellpadding="0"> <thead><tr><th colspan="4">Project Settings</th><tr>
+ <tr> <td class="optionTitle" align="left"> Project Name</td> <td> cpld_test</td> <td class="optionTitle" align="left"> Implementation Name</td> <td> cpld_test</td> </tr>
+ </thead>
+ <tbody> <tr> <td class="optionTitle" align="left"> Top Module</td> <td> cpld_test</td> <td class="optionTitle" align="left"> Resource Sharing</td> <td> 1</td> </tr>
+<tr> <td class="optionTitle" align="left"> Disable I/O Insertion</td> <td> 0</td> <td class="optionTitle" align="left"> FSM Compiler</td> <td> 1</td> </tr>
+
+</tbody>
+ </table><br> <table width="100%" border="0" cellspacing= "0" cellpadding= "0">
+ <thead><tr><th colspan="9">Run Status</th></tr></thead>
+ <tbody>
+ <tr>
+ <th align="left" width="17%">Job Name</th>
+ <th align="left">Status</th>
+ <td class="lnote" align="center" title="Notes"></td>
+ <td class="lwarn" align="center" title="Warnings"></td>
+ <td class="lerror" align="center" title="Errors"></td>
+ <th align="left">CPU Time</th>
+ <th align="left">Real Time</th>
+ <th align="left">Memory</th>
+ <th align="left">Date/Time</th>
+ </tr>
+ <tr>
+ <td class="optionTitle">Compile Input</td><td>Error</td>
+ <td>2</td>
+<td>0</td>
+ <td style="background-color:#FFBBBB;">3</td>
+<td>-</td>
+<td>0m:00s</td>
+<td>-</td>
+<td><font size="-1">01.06.2017</font><br/><font size="-2">13:51:51</font></td>
+</tr>
+
+<tr>
+ <td class="optionTitle">Map & Optimize</td>
+ <td>out-of-date</td><td class="empty"></td><td class="empty"></td><td class="empty"></td><td>0m:00s</td><td class="empty"></td><td class="empty"></td><td><font size="-1"></font><br/><font size="-2"></font></td> </tbody>
+ </table>
+ </td></tr></table></body>
+ </html> \ No newline at end of file