summaryrefslogtreecommitdiffstats
path: root/sw/cpld/ADDRESS_DECODER.syn
diff options
context:
space:
mode:
Diffstat (limited to 'sw/cpld/ADDRESS_DECODER.syn')
-rw-r--r--sw/cpld/ADDRESS_DECODER.syn11
1 files changed, 11 insertions, 0 deletions
diff --git a/sw/cpld/ADDRESS_DECODER.syn b/sw/cpld/ADDRESS_DECODER.syn
new file mode 100644
index 0000000..419b6ba
--- /dev/null
+++ b/sw/cpld/ADDRESS_DECODER.syn
@@ -0,0 +1,11 @@
+JDF B
+// Created by Version 2.0
+PROJECT ADDRESS_DECODER
+DESIGN address_decoder Normal
+DEVKIT M4A3-32/32-10JC
+ENTRY Pure VHDL
+MODULE address_decoder.vhd
+MODSTYLE ADDRESS_DECODER Normal
+SYNTHESIS_TOOL Synplify
+SIMULATOR_TOOL ActiveHDL
+TOPMODULE ADDRESS_DECODER