aboutsummaryrefslogtreecommitdiffstats
path: root/tex/testbench.tex
diff options
context:
space:
mode:
authorNao Pross <np@0hm.ch>2021-08-25 17:14:07 +0200
committerNao Pross <np@0hm.ch>2021-08-25 17:19:22 +0200
commit5f830c63aa251de789313df6c548d937bd48d53b (patch)
tree23e37f651c1c533f82e6df78dc82db2d0dfd4155 /tex/testbench.tex
parentHSR colors (diff)
downloadDigDes-5f830c63aa251de789313df6c548d937bd48d53b.tar.gz
DigDes-5f830c63aa251de789313df6c548d937bd48d53b.zip
Typos, add abstract and samples, finish workflow diagram
Diffstat (limited to 'tex/testbench.tex')
-rw-r--r--tex/testbench.tex4
1 files changed, 2 insertions, 2 deletions
diff --git a/tex/testbench.tex b/tex/testbench.tex
index 5892820..71496f2 100644
--- a/tex/testbench.tex
+++ b/tex/testbench.tex
@@ -26,7 +26,7 @@ y <= `\reqph{expression}` after `\reqph{time}`;
\end{lstlisting}
%% TODO: tikz timing diagram
-\subsection{Generate stimuli}
+\subsection{Generate stimuli} \label{sec:stimuli}
Simple stimuli (signals) are generated using processes. For example a clock
signal done in three ways:
\begin{lstlisting}[language=vhdl]
@@ -99,7 +99,7 @@ for i in 0 to 2**n -1 loop
end loop;
\end{lstlisting}
-\subsection{Assertions}
+\subsection{Assertions} \label{sec:assertions}
Assertions are used write tests to check that a signal is in the correct state.
\begin{lstlisting}[language=vhdl]
`\optionalph{label}`: assert `\reqph{condition}` report `\reqph{string}` severity `\reqph{severity}`;